Free Essay

Pentium 4

In:

Submitted By ashishrai
Words 4099
Pages 17
The Effect of Compiler Optimizations on Pentium 4 Power Consumption
John S. Seng Dean M. Tullsen

Dept. of Computer Science and Engineering University of California, San Diego La Jolla, CA 92093-0114 jseng,tullsen @cs.ucsd.edu Abstract
This paper examines the effect of compiler optimizations on the energy usage and power consumption of the Intel Pentium 4 processor. We measure the effects of different levels of general optimization and specific optimization. We classify general optimizations as those compiler flags which enable a set of compiler optimizations. Specific optimizations are those which can be enabled and disabled individually. The three specific optimizations we study are loop unrolling, loop vectorization, and function inlining. The binaries used in this study are generated using the Intel C++ compiler, which allows fine-grained control over each of these specific optimizations.
¡

1. Introduction
The power consumption of general purpose microprocessors has reached a point where the problem has to be addressed at various levels of system design. Many circuit, architecture, and software algorithm techniques exist to reduce power, but one often overlooked area is the effect of the program code on power consumption. Some research has been done studying the effect of compiler optimizations on power consumption [8, 9]; this work has been generally limited to using architecture-level power models for power estimation. In this work we examine the effect of the compiler on the energy usage and power consumption of an actual modern processor, the Intel Pentium 4 processor. Given a particular architecture, the programs that are run on it will have a significant effect on the energy usage of the processor. The manner in which a program exercises particular parts of the processor will vary the contribution of individual structures to total energy consumption. For example, if the execution of a particular program generates a significant number of data cache misses, the energy used by the second level cache will increase, as there will be more access to the secondary cache.

The relative effects of program behavior on processor energy and power consumption can be demonstrated in simulation, but not until real systems are tested can the absolute values be known. There are additional effects that are difficult to accurately simulate in architecture-level simulation. One example is the power consumption of clock generation and distribution. The energy consumed by the clocking network is a significant one and is difficult to quantify in architecture-level simulation [2]. An additional source of energy usage is that of leakage. Leakage exists even when the processor is not performing any useful computation. Leakage will be a more significant fraction of overall power consumption as supply voltages are scaled down [1]. Again, leakage is a factor that is difficult to quantify in architecturelevel simulation. Given these difficulties, it is necessary to study the effect of programs on a real processor and not just in simulation. In this study, we measure the energy and power consumption of a 2.0 GHz Intel Pentium 4 processor. We run different benchmarks compiled with various optimizations and quantify the energy and power differences when running different binaries. In our experiments we use a modern compiler, the Intel C++ compiler, to produce the binaries. This compiler contains many optimizations, including those that specifically target the capabilities and features of the Pentium 4 processor. This paper is organized as follows. Section 2 describes prior research related to this work. Section 3 describes the power measurement platform along with the benchmarks tested. Section 4 examines the results of using the various compiler optimizations. Section 5 describes future work. Section 6 concludes.

2. Related Work
Most previous work studying compiler optimizations on power consumption has involved architecture-level power models coupled with cycle-by-cycle performance simulators. Valluri et al. [9] study the effect of compiler opti-

Proceedings of the Seventh Workshop on Interaction between Compilers and Computer Architectures (INTERACT’03) 0-7695-1889-03/03 $17.00 © 2003 IEEE

mizations on the power consumption and energy usage of an out-of-order superscalar processor. They simulate a processor using the SimpleScalar simulator coupled with the Wattch [5] architecture level power model. Kandemir et al. [8] study the effect of various compiler optimizations on the energy and power usage of a processor core and memory subsystem. The work is targeted towards embedded applications. Their work is based on SimplePower [10], a cycle-by-cycle architecture level power model. The work does not consider more advanced optimizations available on general purpose processors such as loop vectorization or interprocedural optimizations. Chakrapani et al. [6] also present a study into the effect of compiler optimization on the energy usage of an embedded processor. Their work targets an ARM embedded core and they use an RTL level model along with Synopsys Power Compiler to estimate power. Other prior related work has been done in measuring the power consumption of processors while a system is running. Joseph et al. [7] measure the power of Pentium Pro processor while it is running various benchmarks. In that work, the entire system power consumption is being measured and not just the processor power consumption.

A/D

Data Collection Computer

.015 ohm VRM 1.5V .015 ohm Pentium 4 Processor

motherboard
Figure 1. Power measurement platform

3. Methodology
The power measurement platform we use consists of a 2.0 GHz Intel Pentium 4 Processor running on a Gigabyte GA-8IEXP motherboard. The system is running Redhat Linux 7.3 with a uniprocessor 2.4.18 kernel. The kernel is from a stock Redhat installation. The system has 1GB of PC2100 DDR memory. During power measurement the operating system is in console mode (i.e. X-windows is not running) and there are no other actively running processes on the machine. All results reported are for the energy and power of the microprocessor alone and for no other components. In order to measure the current consumed by the running system, we insert two 5 watt .015 Ohm resistors inline with the Vcc trace to the processor core. The voltage drop across the resistors is measured at run time and the current to the microprocessor is computed given the known resistance value. The resistors are connected in parallel allowing for a total power dissipation of 10 W. During our experiments, the resistors dissipated up to 7 W. Although there is a voltage drop across the resistors, the voltage to the processor is regulated by the motherboard to stay at the required operating voltage. The Vcc trace is from the voltage regulator module (VRM) of the motherboard and runs directly to the processor. This trace is used solely by the processor (it is not used to power any other components in the computer). The processor core runs at 1.5 volts. During our experiments, the current through this trace ranged from 7 to 30 amps. When the processor was completely

idle, the power dissipated was 10.5 watts. We compute the energy utilized by multiplying the current times the sample interval time and sum these products over all samples for a given benchmark run. Analog to digital conversion is achieved using a Texas Instruments ADS1210 converter clocked at 10 MHz. We use this converter to sample the voltage across the resistors at 100 Hz. When sampling at this rate, the converter provides 22 bits of resolution. Voltage readings allows us to compute the current draw of the processor and hence, the power consumption. A single board computer is used to transmit the digital values to a data collection computer via the serial port. A schematic of the measurement setup is shown in Figure 1. The benchmarks we use for our experiments are from the SPEC 2000 benchmark suite. The integer benchmarks are crafty, bzip2, gcc, mcf, and parser. The floating point benchmarks are ammp, art, and equake. Power measurements are taken from the beginning of the benchmark run to completion. Each result shown represents an average of 3 consecutive runs of the benchmark. For a given benchmark, the variation of total energy during the 3 runs ranged from .5% (best case) to 1.0% (worst case). We use one compiler in this study. The compiler is the Intel C++ compiler v.6.0.1 for Linux. This is an advanced compiler featuring many levels of optimization. Each of the flags used in the study are documented in [4]. The compiler provides support for the additional x86 instructions available on the Pentium 4 and contains optimizations targeting the Pentium 4 pipeline.

4. Results
We categorize the flags controlling the compiler optimizations as either general or specific. The general opti-

Proceedings of the Seventh Workshop on Interaction between Compilers and Computer Architectures (INTERACT’03) 0-7695-1889-03/03 $17.00 © 2003 IEEE

2.5 O0 2 normalized energy O1 O3 xW 1.5 ip/ipo prof_gen/use

1

0.5

0 crafty parser mcf vortex gcc bzip2 art ammp equake average

Figure 2. Normalized total energy usage

mization flags are those flags which enable a set of compiler optimizations. These flags are used to simplify compilation by enabling a group of optimizations with just one flag. The specific optimization flags are those which enable or disable one particular optimization. These flags are included in order to allow the user to selectively turn on or off a particular optimization, even when other general flags are included. We study the effect of these two types of flags separately.

-xW Enables code generation of MMX technology instructions, Streaming SIMD Extensions, and Streaming SIMD Extensions 2. These instructions are SIMD operations that are available on the Pentium 4 Processor [3]. -ip/-ipo Enables interprocedural optimizations within a file and across multiple files. These optimizations include: function inlining, constant propagation, and dead code elimination. -prof gen/-prof use The -prof gen flag generates binaries which produce profiling information regarding common branch targets, function call frequency, and dynamic number of loop iterations. When the sources for the benchmarks are recompiled using the -prof use flag, the compiler produces optimized binaries given the profiling information. In this section, when a result is given for any one of the general optimizations, all previous flags are also enabled (except if -O3 is enabled, -O0 and -O1 are not enabled). For example, if a result is given for the -xW flag, the -O3 flag is also enabled. Figure 2 shows the total normalized total energy used by each of the benchmarks and for the average of the benchmarks. The results for each of the benchmarks is normalized to the energy used when the benchmark is run with all optimizations disabled.

4.1. General Optimizations
For the study of the effect of general optimizations, the following flags are used: -O0 This flag disables all compiler optimizations. -O1 This flag enables the following optimizations: global register allocation, instruction scheduling, register variable detection, common subexpression elimination, dead-code elimination, variable renaming, copy propagation, constant propagation, strength reduction-induction variable, tail recursion elimination, and software pipelining. -O2 enables the same optimizations as -O1. -O3 Enables the optimizations in -O1 and adds: prefetching, scalar replacement, and various loop transformations.

Proceedings of the Seventh Workshop on Interaction between Compilers and Computer Architectures (INTERACT’03) 0-7695-1889-03/03 $17.00 © 2003 IEEE

1.2
O0
O1
O3
xW ip/ipo prof_gen/use

45 O0 40 O1 prof_gen/use

1

35 normalized micro-op count

average power (Watts) crafty parser mcf vortex gcc bzip2 art ammp equake average

0.8

30 25 20 15 10 5

0.6

0.4

0.2

0

0 crafty parser mcf vortex gcc bzip2 art ammp equake

Figure 3. Retired micro-op count

Figure 4. Average processor power

For almost all benchmarks, significantly less energy is required when optimizations are enabled. For all of the benchmarks, there is very little energy difference between the -O1 and -O3 optimization flags. One benchmark, art, exhibits a behavior different from all the others when the optimizations are applied. When the first two levels of optimization are applied, the run time of art is almost doubled. We found that this occurs because of an increased number of branch mispredictions when the -O1 and -O3 flags are enabled. The number of branch mispredictions increased by more than 85% in both cases. The average energy reduction across all benchmarks when enabling the -O1 flag is 15.5%. When art is not included in the average, the energy reduction is equal to 30.9%. The -xW and -ip/-ipo optimizations each provide additional energy savings. Again, the art benchmark behaves in an anomalous manner, with increasing energy as the optimizations are applied. When art is not considered in the average, the -xW and -ip/-ipo flags reduce energy by an additional 0.7% and 2.2%, respectively. The average energy reduction with profiling information and full optimizations is 32.3%, compared to binaries with no optimization. The profiling optimization is quite beneficial to gcc and vortex. Compared to -ip/-ipo, those benchmarks produced with the profiling information incur fewer branch mispredictions (3.4% for gcc and 9.3% for vortex). Additionally, figure 3 shows the normalized retired micro-op count for each of the benchmarks. The retired micro-op count for the profiled binaries is 3.3% and 27.1% less than -ip/-ipo for gcc and vortex, respectively. A comparison of the total energy used and the micro-op count show that the two are highly correlated. The two cases where there is not a strong correlation is with art (previously discussed) and mcf. Although the retired micro-op count for -ip/-ipo and prof gen/use for mcf is reduced in each case by over 20% compared to -xW, the run

time is reduced only by 1%. The run time of mcf is dominated by cache misses and we find that the latter two optimization flags reduce cache misses by only 0.1% (over xW). Although this data demonstrates that each of these optimizations reduces the total energy used by the processor, we find that the energy used by the program is even more closely correlated with run time. When comparing the run time of the benchmarks with the total energy used, we find that there is a nearly linearly correlation with energy consumption. In addition, over 10 watts of power is dissipated when the processor is idle. This power is dissipated in part because of the factors discussed earlier, clocking and leakage. Given that an idle processor dissipates this power, the energy differences seen because of code sequences is greatly reduced. Because of these factors, for the Pentium 4 processor, we conclude that compiling for the best performance leads to the most energy-efficient binaries. Figure 4 shows the average power consumption of the processor when running each of the benchmarks. The results shown are for all optimizations disabled, the default optimizations enabled, and all optimizations enabled (including interprocedural and profiling optimizations). The average power consumption of the benchmarks ranges from 32 watts to 41 watts. Although the optimized binaries perform a similar amount of work to the unoptimized binaries and in a shorter period of time, the average power consumption of the optimized binaries is typically lower. Executing the optimized binaries often results in executing fewer instructions overall. Optimizations such as loop vectorization while using functional units which consume more power, actually reduce the number of instructions required to do the same computation. This leads to reduced power of the units throughout the machine, such as the fetch unit and issue mechanism.

Proceedings of the Seventh Workshop on Interaction between Compilers and Computer Architectures (INTERACT’03) 0-7695-1889-03/03 $17.00 © 2003 IEEE

4.2. Specific Optimizations
We categorize specific compiler optimizations as those optimizations which can be enabled and disabled individually via command line flags (without affecting the status of other optimizations). An analysis of these optimizations allows us to study the effect of particular code generation techniques on energy and power consumption. The specific compiler optimizations studied are the following: loop unrolling, loop vectorization, and function inlining. We list the name of the optimization along with the flags that the compiler accepts in order to disable the particular optimization. loop unrolling (-unroll0) By default the loop unrolling optimization is enabled during compilation. Heuristics are used by the compiler to determine when to perform loop unrolling and the number of times to unroll a loop. Although the various parameters for loop unrolling can be specified at compile time, we use the default heuristics when unrolling is enabled. The benefit of loop unrolling is that the generated code generally requires fewer dynamic instructions; the trade-off is that the code size is generally larger (for the average of the benchmarks examined, the size increase is 1.5%) and possibly additional instruction cache misses. loop vectorization (-vec-) Loop vectorization is an optimization where the code generated for loops use the MMX, SSE, and SSE2 instructions. The compiler detects when loops can be parallelized via these SIMD instructions. function inlining (-ip no inlining/-ip no pinlining/no lib inline) These flags when used with the -ip flag disable inlining of functions. The function inlining optimizations insert the actual code sequences of function calls instead of performing the function call. In order to quantify the results of a particular optimization, we compare the energy, power, and execution time of a binary with the optimization disabled with that of a binary produced using the -O3. This optimization flag produces optimized binaries using all of the optimizations we study. The reference binary for the function inlining experiment is compiled with the -ip and -ipo flags enabled. Figure 5 shows the results for the average of the benchmarks when each of the optimizations is enabled. The data represents the percentage reduction for the metric when the optimization is applied. The data for each of the individual benchmarks is shown in Table 1. Negative values indicate an increase in the metric. For the average of the benchmarks, loop unrolling proved to have little effect in terms of program execution time. Some benchmark performance was particularly aided

crafty unrolling vectorization inlining parser unrolling vectorization inlining mcf unrolling vectorization inlining vortex unrolling vectorization inlining gcc unrolling vectorization inlining bzip2 unrolling vectorization inlining art unrolling vectorization inlining ammp unrolling vectorization inlining equake unrolling vectorization inlining

energy 1.68 6.94 6.33 energy 0.45 0.12 8.50 energy -0.23 0.58 1.36 energy 2.66 -3.72 3.98 energy -2.45 -1.17 4.01 energy 0.61 -2.34 1.33 energy -2.24 0.66 0.29 energy 1.79 -0.13 16.80 energy 0.67 -0.01 1.96

time 0.39 6.99 4.77 time 0.46 0.08 8.85 time -0.18 0.63 1.07 time 2.45 -3.25 4.57 time -2.97 -1.51 4.39 time -0.01 -1.82 1.19 time -1.95 0.68 0.42 time 1.61 -0.03 14.89 time 0.15 -0.94 1.28

power 1.29 -0.05 1.49 power -0.01 0.04 -0.32 power -0.05 -0.05 0.29 power 0.21 -0.49 -0.56 power 0.53 0.34 -0.36 power 0.62 -0.53 0.14 power -0.29 -0.02 -0.14 power 0.18 -0.10 1.66 power 0.52 0.94 0.66

Table 1. Percent reduction when optimization is enabled.

by unrolling while for others performance was reduced. The optimization was most beneficial for vortex and ammp. When applying loop unrolling, there is a slight measurable reduction in energy, for little or no effect on performance. For the binaries where loop unrolling enabled, the total energy is reduced as well as the power consumption. The difference in terms of energy and power is very small, though. When compiling for low energy, whether or not loop unrolling should be enabled depends specifically on the program. Loop vectorization and function inlining behave similarly. On the average, both optimizations reduce total energy consumption, but with a corresponding reduction in execution time. Each optimization provides benefits on certain benchmarks, but degrades the performance of others. Loop vectorization does well on crafty (6.94% reduction in energy) and function inlining does particular well on ammp (16.80% reduction in energy). As power and performance optimizations, neither optimization demonstrates

Proceedings of the Seventh Workshop on Interaction between Compilers and Computer Architectures (INTERACT’03) 0-7695-1889-03/03 $17.00 © 2003 IEEE

6 energy 5 power execution time

6. Conclusion
In this work, we measure the effect of various compiler optimizations on the Intel Pentium 4 processor. We use a modified motherboard to measure the current draw of a microprocessor running binaries compiled with various optimizations. The compiler used in this study is the Intel C++ compiler v.6.0.1. We find that enabling various general compiler optimizations leads to significantly reduced energy consumption of the processor. Although the reduction in energy is significant, the reduction comes primarily from a reduction is program execution time. Additionally, we examine the effect of individual optimizations on energy usage and power consumption. The optimizations studied are loop unrolling, loop vectorization, and function inlining. For the benchmarks studied, loop unrolling provides a very minor benefit in terms of reducing energy; loop vectorization and function inlining demonstrate no advantage for performance to power optimization. We find that for the Pentium 4 processor, the most effective approach to compiling for low energy and power is to compile for the highest performance. We find that this is true when the goal is either to minimize energy or minimize power consumption.

average percent reduction

4

3

2

1

0 loop unrolling -1 loop vectorization function inlining

Figure 5. Average percentage reduction for individual compiler optimizations.

any sort of positive trade-off between providing high performance and low energy usage. Of these optimizations, one cannot conclude that there is any which can be classified as an optimization specific to compiling for energy/power minimization. We find that similar to the general optimizations, compiling for the lowest energy usage involved selecting the flags which provide the shortest execution time. Additionally, compiling for low power consumption also generally means compiling for shortest execution time. A few benchmarks, such as parser and vortex with inlining, consume more power with optimizations enabled; but the effects on power are negligible. In general though, the effect on power consumption follows that of energy and execution time.

References
[1] Mos scaling: Transistor challenges for the 21st century. In Intel Technology Journal, 1998. [2] Cool chips tutorial: an industrial perspective on low power processor design. 1999. [3] IA-32 Intel Architecture Software Developer’s Manual, Volume 2: Instruction Set Reference. Intel Corporation, 2002. [4] Intel C++ Compiler User’s Guide. Intel Corporation, 2002. [5] D. Brooks, V. Tiwari, and M. Martonosi. Wattch: A framework for architectural-level power analysis and optimizations. In 27th Annual International Symposium on Computer Architecture, June 2000. [6] L. Chakrapani, P. Korkmaz, V. Mooney, K. Palem, K. Puttaswamy, and W. Wong. The emerging power crisis in embedded processors: What can a (poor) compiler do? In CASES 2001, Nov. 2001. [7] R. Joseph and M. Martonosi. Run-time power estimation in high-performance microprocessors. In The International Symposium on Low Power Electronics and Design, Aug. 2001. [8] M. Kandemir, N. Vijaykrishnan, M. Irwin, and W. Ye. Influence of compiler optimizations on system power. In Design Automation Conference, July 2000. [9] M. Valluri and L. John. Is compiling for performance == compiling for power? In Proceedings of the 5th Annual Workshop on Interaction between Compilers and Computer Architectures, Jan. 2001. [10] N. Vijaykrishnan, M. Kandemir, M. Irwin, H. Kim, and W. Ye. Energy-driven integrated hardware-software optimizations using simplepower. In 27th Annual International Symposium on Computer Architecture, June 2000.

5. Future Work
Additional work that we would like to perform would be to analyze what type of instructions or instruction sequences lead to increased power consumption. Future work would involve quantifying the effect of particular instruction sequences on energy and power consumption. We would also like to examine the effect of compiler optimizations on various other processors, such as RISC or VLIW processors. We found that for the processor we studied, there were no individual optimizations which provided significant energy/power savings without reducing program run time. We believe that given an architecture which exposes more architecture control to the software, opportunities will exist for more power/performance optimizations.

Proceedings of the Seventh Workshop on Interaction between Compilers and Computer Architectures (INTERACT’03) 0-7695-1889-03/03 $17.00 © 2003 IEEE

Similar Documents

Premium Essay

Pentium 4

...Pentium 4 is a distinctive line of single-core computer and notebook main running models (CPUs) plus it has been presented simply by Intel in Nov 20, 2000[1] and shipped as a result of September 8, 08. [2] They some sort of 7th-generation x86 microarchitecture, named NetBurst, that was send out very first all-new style since benefits of the P6 microarchitecture of the Pentium Expert CPUs in 1995. NetBurst differed via P6 (Pentium III, II, etc. ) simply by having a quite serious instruction pipeline to achieve high wall clock data transfer speeds. Intel advertised which NetBurst will allow wall clock data transfer speeds as high as 10 GHz, nonetheless, significant problems with warm dissipation (especially using the Prescott Pentium 4) constrained CPU wall clock data transfer speeds to a much lower 3. 8 GHz. [3] Within 2004, the initial 32-bit x86 instruction number of the actual Pentium 4 microprocessors has been extensive with the 64-bit x86-64 collection. The primary Pentium 4 cores, codenamed Willamette, have been clocked via 1. 3 GHz to help only two GHz. These folks were introduced in Nov 20, 2000, using the Socket 423 system. Well known using the benefits of the Pentium 4 has been the actual 600 MT/s FSB. The idea really controlled in 100 MHz though the FSB has been quad-pumped, and therefore the utmost exchange pace has been a number of instances the bottom wall clock of the shuttle bus, so it has been publicized to operate in 600 MHz. The AMD Athlon's double-pumped...

Words: 391 - Pages: 2

Free Essay

Advanced Computer Architecture Hw

...(1.12) Case Study #4 Original Values from Figure 1.26 Chip | # of cores | Clock Frequency (MHz) | Memory Performance | Dhrystone Performance | Athlon 64 X2 4800+ | 2 | 2400 | 3423 | 20718 | Pentium EE 840 | 2 | 2200 | 3228 | 18893 | Pentium D 820 | 2 | 3000 | 3000 | 15220 | Athlon 64 X2 3800+ | 2 | 3200 | 2941 | 17129 | Pentium 4 | 1 | 2800 | 2731 | 7621 | Athlon 64 3000+ | 1 | 1800 | 2953 | 7628 | Pentium 4 570 | 1 | 2800 | 3501 | 11210 | Processor X | 1 | 3000 | 7000 | 5000 | a) Results normalized to Pentium D 820 (calculation performed in MS Excel) Chip | # of cores | Clock Frequency (MHz) | Memory Performance | Dhrystone Performance | Athlon 64 X2 4800+ | 2 | 2400 | 1.141 | 1.361235217 | Pentium EE 840 | 2 | 2200 | 1.076 | 1.241327201 | Pentium D 820 | 2 | 3000 | 1 | 1 | Athlon 64 X2 3800+ | 2 | 3200 | 0.980333333 | 1.12542707 | Pentium 4 | 1 | 2800 | 0.910333333 | 0.500722733 | Athlon 64 3000+ | 1 | 1800 | 0.984333333 | 0.501182654 | Pentium 4 570 | 1 | 2800 | 1.167 | 0.73653088 | Processor X | 1 | 3000 | 2.333333333 | 0.328515112 | b) Arithmetic means calculation results (calculation performed in MS Excel) Chip | Original Arithmetic Means of Performance | Normalized Arithmetic Means of Performance | Athlon 64 X2 4800+ | 12070.5 | 1.251117608 | Pentium EE 840 | 11060.5 | 1.158663601 | Pentium D 820 | 9110 | 1 | Athlon 64 X2 3800+ | 10035 | 1.052880201 | Pentium 4 | 5176 | 0.705528033 | Athlon 64 3000+ | 5290.5 |...

Words: 598 - Pages: 3

Free Essay

Nt1110 U1As1 Integrated Circuit Technology

...Integrated Circuit Technology (U1AS1) Processor Model | Transistor Count | Year | Intel 4004 | 2,300 | 1971 | Intel 8008 | 3,500 | 1972 | Intel 8080 | 4,500 | 1974 | Intel 8086 | 29,000 | 1978 | Intel 286 | 134,000 | 1982 | Intel 386 | 275,000 | 1985 | Intel 486 | 1,200,000 | 1989 | Intel Pentium | 3,100,000 | 1993 | Intel Pentium Pro | 5,500,000 | 1995 | Intel Pentium II | 7,500,000 | 1997 | Intel Celeron | 7,500,000 | 1998 | Intel Pentium III | 9,500,000 | 1999 | Intel Pentium 4 | 42,000,000 | 2000 | Intel Xeon | 42,000,000 | 2001 | Intel Pentium M | 55,000,000 | 2003 | Intel Core 2 Duo | 291,000,000 | 2006 | Intel Core i7 (quad) | 731,000,000 | 2008 | Intel Quad-Core Itanium | 2,000,000,000 | 2010 | Intel Six-Core i7/8 | 2,270,000,000 | 2011 | Intel 8-Core Itanium | 3,100,000,000 | 2012 | The processor chip that has two billion transistors placed in it was the Intel Quad-Core Itanium, which was released in 2010. Source: 1. http://www.intel.com/content/dam/www/public/us/en/documents/corporate-information/museum-transistors-to-transformations-brochure.pdf 2. http://www.wagnercg.com/portals/0/funstuff/AHistoryofMicroProcessorTransistorCount.pdf The growth of the transistor is reasonable because computer technology grew and it will keep growing, which Moore’s law proves that the growth...

Words: 283 - Pages: 2

Free Essay

Stuff

... | |Intel186 |1982 |55,000 | |Intel286 |1982 |134,000 | |Intel386 processor |1985 |275,000 | |Intel486 processor |1989 |1,200,000 | |Intel Pentium Processor |1993 |3,100,000 | |Intel Pentium Pro |1995 |5,500,000 | |Intel Pentium II Processor |1997...

Words: 398 - Pages: 2

Premium Essay

Club It

...Club it Anabel Hernandez Bis/219 March 3, 2010 Luis Vega Abstract There have been many cases where IT has helped organizations achieve strategic objectives. The real estate industry has seen a major transformation with electronic communications and the Web, the airlines are continuing to innovate, and even my course registration process is getting easier with online options. While Club IT, a night club that is about to open, is without the IT resources of K-mart or Sears, it is more agile and may be able to take advantage of its information base to help build a community with the support of IT. My role in the story is that I have been hired as an intern by Lisa Tejada and Ruben Keys. We are sitting in the empty club a few hours before opening; I have an initial meeting with Ruben and Lisa. They provide background on the club and an overview of their need to boost their information technology, data management, and decision-making capabilities. Club it Lisa Tejada and Ruben Keys are starting a nightclub together called Club it. The Club it is ready to be open for the next day. Everything looks fantastic and alluring. The DJ uses MP3 playlists to play hip-hop, techno, electronic, and some Top 40s. Moreover it has high ceilings with high energy lightning that creates an ambience of energy and fun. However, in the internal part of the business there is a little bit of chaos happening. Lisa and Ruben run the office and maintain all financial and business-related...

Words: 1020 - Pages: 5

Free Essay

Information System at Bhel

...BHEL PROFILE It is the largest engineering and manufacturing enterprise of its kind in India and one of the leading international companies in the power field. BHEL offers over 180 products and provides systems and services to meet the needs of core sectors like: power, transmission, industry, transportation, oil & gas, non-conventional energy sources and telecommunication. A wide-spread network of 14 manufacturing divisions, 8 service centers and 4 regional offices besides a large number of project sites spread all over India and abroad, enables BHEL to be close to its customers and cater to their specialized needs with total solutions - efficiently and economically. BHEL - VISION & MISSION VISION : A world class Engineering Enterprise committed to Enhancing stakeholder value. MISSION : To be an Indian multinational Engineering enterprise Providing Total Business Solutions trough Quality Products, system and Services in the field of Energy, Industry, Transportation, Infrastructure and other Potential areas. VALUES : Zeal to excel and for change, Integrity and fairness in All Matters, respect for dignity & potential of individual, Strict Adherence to commitments ensures...

Words: 1921 - Pages: 8

Free Essay

Club It Part 1

...for there community. The owners are moving into the right direction by taking advantage of the e-commerce activites to help there business stay ahead in this competitive market. Although the club is doing well, both Lisa and Ruben as owners could use a little help in there IT department. This is where I come in to help analyze what Lisa and Rueben already have to make their business run more effieiciently. 2. Information Technology Infrastructure The role of information technology infrastructure is to implement organization and to help produce and store pertnant information. I noticed that the owners have a dial-up connection which means that most if not all of the club’s business is done on a network. Lisa and Ruben use a Pentium 4 Processor with Windows XP Professional as there software and I would definitley recommend an upgrade since there has not been an upgrade for at least three years. This will allow for better storage and memory of there financial and business-related records. The duties of maintaing the club finanically and business-related records are split between the two owners, which can be a lot since...

Words: 710 - Pages: 3

Premium Essay

History and Transistor Count

...Processor 29K Transistors 1974 Intel 8080 Processor 4500 Transistors 1974 Intel 8080 Processor 4500 Transistors 1972 Intel 8008 Processor 3500 Transistors 1972 Intel 8008 Processor 3500 Transistors 1971 Intel 4004 Processor 2300 Transistors 1971 Intel 4004 Processor 2300 Transistors 2003 Intel Pentium M Processor 55 Million Transistors 2003 Intel Pentium M Processor 55 Million Transistors 2001 Intel Xeon Processor 42 Million Transistors 2001 Intel Xeon Processor 42 Million Transistors 2000 Intel Pentium 4 Processor 42 Million Transistors 2000 Intel Pentium 4 Processor 42 Million Transistors 1999 Intel Pentium III Processor 9.5 Million Transistors 1999 Intel Pentium III Processor 9.5 Million Transistors 1998 Intel Celeron Processor 7.5 Million Transistors 1998 Intel Celeron Processor 7.5 Million Transistors 1995 Intel Pentium Pro Processor 5.5 Million Transistors 1995 Intel Pentium Pro Processor 5.5 Million Transistors 1997 Intel Pentium II Processor 7.5 Million Transistors 1997 Intel Pentium II Processor 7.5 Million Transistors 1993 Intel Pentium Processor 3.1 Million Transistors 1993 Intel Pentium Processor 3.1 Million Transistors 1989 Intel 486 Processor 1.2 Million Transistors 1989 Intel 486 Processor 1.2 Million Transistors 1985 Intel 386 Processor 275K Transistors 1985 Intel 386 Processor 275K Transistors 2012 3rd Generation Intel Core Processor 1.4 Billion Transistors 2012 3rd...

Words: 571 - Pages: 3

Free Essay

Asusrive

...motherboard to the base without worrying about short circuits. Sometimes you may need to use the plastic springs to isolate the screw from the motherboard PCB surface, because the circuit wire may be near by the hole. Be careful, don't let the screw contact any printed circuit write or parts on the PCB that are near the fixing hole, otherwise it may damage the board or cause board malfunctioning. "*" For RAMPAGE IV EXTREME only . "**" For RAMPAGE IV EXTREME Ultra only. RAMPAGE IV EXTREME Ultra/RAMPAGE IV EXTREME Motherboard -4- Chapter 1 Introduction Features Summary Form Factor CPU -- 30.5cm x 24.4cm ATX size form factor, 4 layers PCB. -- Socket 478 for Intel® Micro FC-PGA2 Pentium® 4 processor -- Support Intel ® Pentium ® 4 (Northwood, 0.13 m) processor -- -- -- -- -- -- -- -- -- -- -- -- -- -- Support Intel ® Pentium ® 4 Processor with HT Technology Intel Pentium® 4 400/533MHz FSB 2nd cache depends on CPU SiS 655 Host/Memory controller SiS 963 MuTIOL Media I/O 4 184-pin DDR DIMM sockets Supports Dual channel DDR333/DDR266 DIMM Supports 128MB/256MB/512MB/1GB unbuffered DRAM Supports up to 4GB DRAM (Max) Supports only 2. 5V DDR DIMM IT8705F 1 AGP 3.0 slot supports 8X/4X mode 5 PCI slot supports 33MHz & PCI 2.2 compliant 2...

Words: 778 - Pages: 4

Free Essay

Project

...You have founded your own networking company. You have been asked to submit a proposal for a new network at RobCo Industries. They have asked you to help design, specify and install a network at their facility. Use the knowledge and skills covered in this class to help you with this project. Should you need any information not covered in this document (such as if the offices have baseboards, etc.), please feel free to ask the instructor. RobCo is located in the Port Huron Industrial Park on 16th Street. They are a small company with about 75 employees. Their main product is the patented "Propeller Beanie". They manufacture this item at the Port Huron facility. Currently they have only a few PC's installed, but their technology plan envisions that most employees will work with PC's in the future and that everyone will communicate via an intranet.  In essence, your group will create a "case study"... Each week, we will be focusing on a different aspect of the project. The Total budget for this project is $25,000. Week 1 - Physical Plant The exterior dimensions of the building are 120' x 60' the exterior walls are poured concrete, while the interior walls are typical commercial metal stud framing. The building is single story, and is on a slab foundation. There is no sub-floor or basement. The manufacturing facility and the warehouse both have an open 12' ceiling. The office areas have an 8' dropped ceiling. You can estimate the interior dimensions of the...

Words: 2355 - Pages: 10

Free Essay

Advanced Micro Devices

...DIVERSIFICATION AND GLOBAL POSITION 3 Advanced Micro Devices, Inc. is ranked as the as the world’s second largest PC chipmaker with a market share of just 17%, far behind Intel Corp. with 81% of the market (Buckman and Williams 2001, 1). However, in 2000 AMD’s sales jumped 63% to $4.6 billion, producing $983 million in net income and its first profitable year since 1995 (Streetwalker 2001, 1). AMD owns engineering, manufacturing, warehousing and administrative facilities where it produces not only PC chips but also microprocessors, memory circuits, logic circuits, flash memory devices, telecommunication products and embedded processors (Moody’s 2000, 2520). The company’s headquarters and research and development site are located in Sunnyvale, CA, while the wafer manufacturing plants are located in Austin, TX and Dresden, Germany. The test and assembly facilities are located in Bangkok, Thailand and Penang, Malaysia. The company has also established production at the Singapore’s test and assembly facility as well as an analysis and design plant in Suzhou, China. In addition, a new plant in Japan, a joint venture of AMD and Fujitsu, called AMD Fujitsu Semiconductor Ltd. or FASB, will begin operations in the first half of 2001 (Dum 2000, 2). AMD, like many technological multinational enterprises (MNE), prefers to locate its factories and assembly plants in technology clusters in stable and democratic countries. However, AMD knows that East Asia is one of the best...

Words: 3025 - Pages: 13

Free Essay

Report

...2003 Annual Report intel.com intc.com GROWTH THROUGH TECHNOLOGY LEADERSHIP 33.7 29.4 25.1 20.8 16.2 26.3 26.5 26.8 30.1 36 1.51 1.6 Americas 50% 45% 28% 100 27 1.05 0.97 0.86 18 0.73 0.85 1.2 40% 75 0.8 20% 20% AsiaPacific Europe 14% 50 11.5 9 0.33 0.50 0.46 0.4 0.19 28% 28% 23% 25 Japan 94 95 96 97 98 99 00 01 02 03 0 94 95 96 97 98 99 00 01 02 03 0 8% 93 7% 98 9% 03 0 NET REVENUE Dollars in billions DILUTED EARNINGS PER SHARE† Dollars, adjusted for stock splits † GEOGRAPHIC BREAKDOWN OF REVENUE Percent Amortization of goodwill reduced earnings per share in 2001 by $0.22 ($0.18 in 2000 and $0.05 in 1999). Goodwill is no longer amortized, beginning in 2002. “Our continuing commitment to investments in leading-edge technology and our dedication to product innovation have set the stage for the positive results we began to see by year’s end.” Craig R. Barrett Chief Executive Officer 38.4 35.6 33.3 30.2 27.3 28.4 26.2 40 Machinery and equipment Land, buildings and improvements 6.7 8.0 7.3 4.0 4.4 3.9 3.8 5.0 4.0 30 6.0 3.1 4.5 20 15.4 2.4 8.7 10 4.0 3.6 3.0 3.4 4.7 3.7 4.0 1.8 1.3 2.3 2.5 3.0 2.0 2.0 1.1 1.0 3.5 0 0 0 94 95 96 97 98 99 00 01 02 03 94 95 96 97 98 99 00 01 02 03 94 95 96 97 98 99 00 01 02 03 RETURN ON AVERAGE STOCKHOLDERS’ EQUITY ...

Words: 32414 - Pages: 130

Free Essay

Who to Win

...and system bus -System bus speed x multiplier = processer speed. Advances in Processing speed -Multprocessing: the ability to perform multiple funtions simultaneously Cache *SRAM -Static RAM -Faster, but more expensive -Holds memory without being *DRAM -Dynamic RAM -Memory must be “ refreshed” *L1 cache -On the processor chip *L2 cache -Inside the processor housing -Not on the processor chip *L3 cache -Inside the processor housing -Further away from the processor chip Sockets *LGA 775 -Intel- Pentium 4 and Celeron *Socket 754 -AMD- Athlon, Sempron, Opteron ZIF *Zero Insertion Force -Lever used to gently lock CPU into Socket without damaging it Heat *Opimal temperature -90-110 F -32-43 C *Check system temperature in CMOS *Therimal Compound -Cream-like substance -Forms thermal seal between CPU and heat sink *Heat Sink -Finger- like projections (fins) *CPU fan -Draws heat away from CPU *Real life problem -Computer shutdown periodically -No appear reasons for shutdown -Could be restarted after 30min -Could not hear...

Words: 258 - Pages: 2

Free Essay

Identifying Mother Board Conits

...LAB 4 1. LGA 1156 (Land Grid Array)- Used with the I5 Processor and its purpose of it is to connect the processor to the mother board. 2. H55 Chipset- Used with i7-800, i5, and i3 processors. Its purpose is that it gives flexible display interface which enables direct connection between the CPU and the graphics core. 3. Gigabyte GA-H55M-UD2H- Interesting facts on this motherboard is that it supported the latest intel® core™ i7, core™ i5 and core™ i3 processors featuring the first time ever integrated graphics on chip and lga 1156 socket(core™ i5 and core™ i3 processors only), gigabyte h5x series motherboards set a new standard in multimedia platform for high definition contents playback. with the gpu integrated directly into the processor die, gigabyte h5x motherboards support 2 channel ddr3 memory, wide range of display interfaces that includes vga, dvi, hdmi and displayport (depends on model). additionally, the gigabyte h5x series are optimized for greater graphics performance by enabling overclocking the gpu core frequency for a performance gain of up to 13%. 4. AMD 770 Northbridge- Uses the AMD phantom and the purpose is to make a connection from the processor and the rest of the computer. 5. AMD Sb 710 Southbridge- Uses the embedded AMD Athlon single and dual-core processors. Purpose is to control the processes going on inside the computer’s main frame system 6. Socket AM3 Connector- Uses for the phantom 2 Processor. Processor | Clock Speed...

Words: 358 - Pages: 2

Free Essay

Computer Structure and Logic Chapter 5

...Chapter 5 1. Which one of the listed processors was the last slot-based processor designed by Intel? A: D. Pentium III 2. which of the following processors was the first dual-core designed by AMD A: A. Athlon 64 X2 3. Which of the following best describes hyper threading? A: B. Processing two execution threads simultaneously 4. Before you remove the processor from the motherboard, what device should you remove first? A: C. Heat sink 5. You have been dispatched to a client’s computer. You have decided that the processor is overheating. Which of the following steps can you take to help with the air flow around the processor? A: E. All of these options are correct 6. Which of the following are causes of overheating? A: A. The power supply fan is too large C. Incorrect processor 7: Which of the following is also known is also known as the FSB? A: B. External clock speed 8. Which of the following is executing of two or more threads within a single processor core? A: B. Hyper threading 9. Which of the following is best described as the practice of running a processor at higher speeds than normal? A: C. Hyper threading 10. Which of the following types of cache memory is built into the processor core? A: A. L1 11. Which of the following allows a processor to access more than 4GB of RAM? A: C. x64 12. Which type of socket allows a processor to simply be...

Words: 345 - Pages: 2